BRAS Simulator sur Windows
Je suis étudiant les Processeurs ARM à partir d'un manuel...
J'ai pensé qu'il serait plus utile si je pouvais appliquer ce que j'apprends sur un BRAS simulateur de... l'écriture de code, puis de regarder les résultats et les différentes phases d'exécution serait plus agréable...
J'ai cherché, mais tout ce que je pouvais trouver était soit un logiciel gratuit sur linux ou sur un démo sur windows
Est-il un simulateur qui me permettent de voir l'exécution des étapes et des changements pour processeur ARM (n'importe quelle version!) qui s'exécute sur windows??
Grâce
Vous devez vous connecter pour publier un commentaire.
Bras émulateur de la armulator, est disponible sous forme de code source dans de nombreux endroits, dans le gdb sources par exemple. qemu fonctionne très bien mais il va être difficile de "voir votre code". le mieux serait d'avoir un taux de hdl source (verilog, par exemple) pour un bras de base et de créer des vcd fichiers ou d'autres forme d'onde formats. Sauf si vous travaillez pour une puce de l'entreprise avec un intégré à bras si vous êtes probablement ne va pas à en trouver un. Je peux me tromper mais je pense que tous les cœurs arm il y a vite pris par le BRAS.
mame a un coeur arm ou deux. visual boy advance est un bras de base. la nds émulateurs ont des cœurs arm.
Ou vous pouvez faire ce que j'ai fait avec le thumbulator et écrire votre propre, je l'ai trouvé plus facile que d'essayer d'obtenir l'un de l'autre afin de me montrer ce que je voulais voir. Bien sûr, j'étais paresseux et seulement une manette de jeu d'instructions de l'émulateur à la place d'un bras de l'émulateur. Il n'est pas difficile du tout de même beaucoup de temps.
MODIFIER
Bon, je corrige la position des mains. google les mots de bras de verilog. l'isc.tgz contient un modèle de comportement du modèle d'un bras qui compile et fonctionne très bien avec icare verilog (gratuit).
Commentaire de tous les $save_store(); lignes (comme C utiliser un //).
Ajouter quelques lignes de code pour testarm.v (après la arm10 et avant la première de commencer par exemple).
initiale
commencer
$fichier de vidage("test.vcd");
$dumpvars(0,arm10);
fin
toujours #50000 $de finition;
puis
iverilog -o bonjour testarm.v arm10.v
vvp bonjour
et il sera exécuté 50000 unités de temps, la finition de la carte sim et de fermer le fichier vcd. Pour faire la carte sim plus longue ou plus courte changer le toujours #50000 ligne.
Obtenir une copie de gtkwave (gratuit) pour afficher le fichier vcd. À l'aide de gtkwave est une toute autre post, vous aurez envie de faire quelque chose comme cliquez sur le signe + à côté de test_arm, puis cliquez sur le arm10 qui est là, sous les signaux de cliquer sur dire addr_bus pour mettre en surbrillance la ligne puis cliquez sur ajouter à cocher, cliquez sur data_bus et cliquez sur le bouton ajouter, peut-être ajouter quelques registres, r1, r2, etc. Il y a une barre d'outil, mais vous pouvez également utiliser le menu temps->zoom->zoom pleine qui est ALT-F sur mon installation. ensuite, vous aurez à apprendre comment zoomer et dézoomer sur les choses.
Parlant de son expérience personnelle, vous ne "voyez votre code" exécuter mieux que d'utiliser un simulateur hdl. En fait une fois que vous avez cela, vous pouvez avoir un moment difficile en cours d'exécution sur silicium où vous avez à peu près impossible de voir quoi que ce soit.
Je n'ai pas fait plus que de courir le programme de test qui a été inclus avec ce modèle de comportement. ne sais pas ce que vous pouvez ou ne peux pas faire avec elle.
Un verilog $readmemh est assez simple, il veut juste lire les lignes de l'hexagone, et ce, de mémoire spécifié. Donc, vous pouvez facilement faire un outil qui prend votre compilé bras de code et crée le fichier ascii que le verilog simulation veut.
QEMU
Peut-être que vous faisiez allusion à l'expérimentation installateur binaire pour Windows. Pour l'installation de la source sous Windows, voir cette documentation.
Qemu prend en charge Windows et de première classe est un émulateur pour le BRAS. Bien sûr, l'exacte pipeline états ne sont pas disponibles, mais le programmeur du point de vue de l'émulation est disponible.
Il a été un moment depuis longtemps à cette question.
Toujours pensé qu'il serait bon d'écrire une réponse pour ceux qui sont familiers avec C.
C'est un petit C application qui émule certains/ARM suivantes les instructions de montage, où vous pouvez ajouter de débogage ou d'imprimer les résultats à points à vérifier les phases d'exécution.
Code Source est disponible ici: http://www.omidmufeed.com/arm-assembler-emulator-with-c/
ARMSIM
De moi: c'est un simulateur et spécifiques au processeur, qui est, de BRAS exécutant Linux sous QEMU, comme d'autres l'ont suggéré, est une meilleure option lors de l'essai de solutions concrètes. La prochaine étape est le BRAS nu métal, qui en 2015 et à moindre coût réalisables avec le Raspberry PI.
Je viens de trouver VisUAL et il a été très bien jusqu'à présent.