Comment écrire un verilog testbench à boucle à travers 4 entrées?

Je dois créer le code verilog et testbench pour ce schéma.

Comment écrire un verilog testbench à boucle à travers 4 entrées?

J'ai de la conception pour elle ici.

module prob1(input wire a,b,c,d, output wire out);
    assign out = (a||d)&&(!d&&b&&c);
endmodule

Voici ce que j'ai pour le testbench jusqu'à présent.

module prob1_tb();
    reg a,b,c,d;
    wire out;

    prob1 prob1_test(a,b,c,d, out);

    initial begin
        for(i=0; i=16; i=i+1)
            <loop code here>
        end
    end
endmodule

Maintenant, je suppose que la partie que je vais avoir des problème avec est comment puis-je convertir ce nombre en ces 4 entrées, qui sont utilisés dans le schéma. Ou est-il une meilleure façon d'aller à ce sujet?

OriginalL'auteur | 2014-10-05