Comment générer un retard dans verilog pour la synthèse?

Je Veux Concevoir un code Verilog pour l'Interfaçage 16*2 écran LCD. Comme dans l'écran LCD pour donner de la "commande" ou "données" nous devons donner de l'écran LCD Activer le code pin d'un "Haut vers le bas d'Impulsion d'impulsion qui signifie

**E=1;
Delay();//Must be 450ns wide delay
E=0;**

Ce l'endroit où je confonds, je signifie en Verilog pour la synthèse # ne sont pas autorisées, alors comment puis-je donner de retard ici, j'ai joint mon code ci-dessous. Il doit être noté que j'essaie de donner de retard dans mon code mais je pense que pas de retard les travaux, de sorte s'il vous plaît aidez-moi à me débarrasser de ce problème de retard......

             ///////////////////////////////////////////////////////////////////////////////////
             ////////////////////LCD Interfacing with Xilinx FPGA///////////////////////////////
             ////////////////////Important code for 16*2/1 LCDs/////////////////////////////////
             //////////////////Coder-Shrikant Vaishnav(M.Tech VLSI)/////////////////////////////
             ///////////////////////////////////////////////////////////////////////////////////

 module lcd_fpgashri(output reg [7:0]data,output reg enb,output reg rs,output reg rw ,input CLK);
        reg [15:0]hold;
        reg [13:0]count=0;
        //Code Starts from here like C's Main......
        always@(posedge CLK)
        begin
        count=count+1; //For Delay

       //For LCD Initialization   
        lcd_cmd(8'b00111000);
        lcd_cmd(8'b00000001);
        lcd_cmd(8'b00000110);
        lcd_cmd(8'b00001100);

       //This is a String "SHRI" that I want to display
        lcd_data(8'b01010011);//S
        lcd_data(8'b01001000);//H
        lcd_data(8'b01010010);//R
        lcd_data(8'b01001001);//I
        end


        //Task For Command

       task lcd_cmd(input reg [7:0]value); 
          begin
         data=value;
         rs=1'b0;
         rw=1'b0;
         enb=1'b1;        //sending high to low pulse
         hold=count[13]; //This is the place where I try to design delay
         enb=1'b0;
        end
        endtask


   //Task for Data      

    task lcd_data(input reg [7:0]value1);
        begin
         data=value1;
         rs=1'b1;
         rw=1'b0;
         enb=1'b1;        //sending high to low pulse  
         hold=count[13]; //This is the place where I try to design delay
         enb=1'b0;
        end 
        endtask


        endmodule
Regardez cette avant de vous écrire tout HDL: youtube.com/watch?v=rdAPXzxeaxs

OriginalL'auteur Shrikant Vaishnav | 2013-10-07