Est de dépassement d'entier défini en VHDL?

Je me demandais si le dépassement d'entier est défini en VHDL. Je n'ai pas pu trouver quoi que ce soit en 2002 lors de la Spécification.

Comme un exemple (à Noter que cela peut ne pas compiler, c'est juste un exemple générique...):

entity foo is port (
    clk : std_logic
);
end entity;

architecture rtl of foo is
    signal x : integer range 0 to 2 := 0;
begin
    process (clk)
    begin
        if rising_edge(clk) then
            x <= x + 1;
        end if;
    end process;
end architecture;

Il est clair que x passe de 0 à 1, puis à 2. Est-il défini ce qui va se passer sur la prochaine augmentation? C'est qu'un comportement indéfini?

OriginalL'auteur Bill Lynch | 2012-11-19