Génération de nombre aléatoire sur Spartan-3E

J'ai besoin de générer des nombres pseudo-aléatoires pour mon algorithme génétique sur un Spartan-3E FPGA et je veux la mettre en œuvre en verilog: pourriez-vous me donner des conseils sur ce?

source d'informationauteur akosch