Inclure un module dans verilog

Je veux inclure un verilog module dans un autre fichier. Comment puis-je l'inclure dans le code et comment puis-je compiler le code pour inclure le fichier d'en-tête? Est-ce comme en c?

OriginalL'auteur user1730250 | 2013-10-29