Instruction Assert en Verilog

Je suis complètement nouveau pour Verilog, si patient avec moi.

Je me demandais si il y a une instruction assert en Verilog. Dans mon testbench, je veux être en mesure d'affirmer que les sorties des modules sont égaux à certaines valeurs.

Par exemple,

mymodule m(in, out);
assert(out == 1'b1);

Recherche sur google m'a donné un quelques liens, mais ils étaient soit trop complexe, ou ne semble pas être ce que je voulais.

Pourquoi la SV ajouté le tag?
toolic marqué, je ne sais pas pourquoi. Je les ai supprimées.
Parce que j'ai ajouté un SV Réponse. Parce que les OP doivent être conscients que Verilog et SystemVerilog sont dans la même langue, selon la norme IEEE. Parce que StackOverflow est un lieu d'apprentissage de nouvelles choses.
Pourriez vous s'il vous plaît ne pas le faire?
Ne pas montrer à des gens d'autres moyens de faire quelque chose?

OriginalL'auteur gsingh2011 | 2012-12-16