La comparaison d'une longue std_logic_vector à zéros

En simulation, cela fonctionne parfaitement. Est-ce le meilleur moyen de vérifier
les zéros pour un synthesisable code. Quelles seraient les ressources générées?

signal vector_slv : std_logic_vector(2048 downto 0);
...
if (vector_slv = (vector_slv'range => '0')) then
  -- do something...

Est-il un autre moyen optimal pour mettre en œuvre cette solution compte tenu de h/w cartographie (avec une utilisation optimale des ressources).

Je serais plus intéressé à comprendre les ressources utilisées.

OriginalL'auteur powernest | 2013-09-25