L'initialisation d'un tableau d'enregistrements en VHDL

J'ai un dossier défini comme suit

type ifx_t is
record
  data                        : std_logic_vector(127 downto 0);
  address                     : std_logic_vector (19 downto 0); 
  WrReq                       : std_logic;-- 
  RdReq                       : std_logic; --
end record;
type Array_ifx_t is array (0 to 2) of ifx_t;

Et je dois initialiser une instance de cette gamme de dossiers et j'ai essayé de la manière suivante, et il ne fonctionne pas

signal pair_in       : Array_ifx_t:= (others =>((others =>'0'),(others=>'0'),'0','0')); 

Aide gentiment.

Quel outil utilisez-vous et quel message d'erreur avez-vous voir ? Il fonctionne très bien dans ModelSim de la compilation.
De cette manière, le message d'erreur est "Formel <pair_in> n'a pas de réelle ou valeur par défaut" et le code est respecté dans "ISim Simulateur: Comportemental de Vérification de la Syntaxe".
Juste essayé ISim de 14,6 (nt64), qui transmet "Comportementale de Vérification de la Syntaxe" de fonctionner sans erreurs. Peut-être que l'erreur est due à une relation avec un autre code. Vous pouvez essayer de couper le module vers le bas pour seule entité, l'architecture et le code ci-dessus, et ensuite voir si les passes. Sinon, merci de poster l'ensemble de couper vers le bas module ici.
La question était due à la non mappage du port où pair_in était censé.

OriginalL'auteur mohit | 2013-12-17