Pycharm code de sortie 0

Chaque fois que j'exécute le code, ma console se termine toujours par Process finished with exit code 0.

Par exemple, si je devais print("hellow"):

pydev debugger: process 21021 is connecting

Connected to pydev debugger (build 131.618)
hellow

Process finished with exit code 0

Est-il possible de faire la sortie "hellow"?

InformationsquelleAutor goodcow | 2013-12-17