Quelle est la meilleure façon de savoir si un bus contient un unique x dans verilog?

J'ai un banc de test qui suit un bus. Certains des signaux à l'intérieur de l'autobus peut être 1'bx. Pour une variété de raisons, j'ai besoin de savoir si l'un des signaux à l'intérieur de l'autobus sont 1'bx. Quelle est la meilleure façon de tester (pas de synthèse-seulement pour la simulation) si un bus contient tout x? J'avais espéré que je pourrais utiliser une réduction ou puis utiliser ===, mais cela ne semble pas fonctionner. Merci,

D

Pourquoi cette question de down ont voté?

OriginalL'auteur Doov | 2013-07-01