Qu'est-ce que la négation (pas) d'un vecteur de bits en VHDL

Que signifie faire une négation d'un vecteur de bits en VHDL? Par exemple si j'ai 10100111 qui est un vecteur de bits appelé temp et je fais quelque chose comme temp := temp que sera ma sortie?

OriginalL'auteur JC2 | 2011-02-03