$readmemh $writememh ressources liées aux

Soudain, je me suis fait examiner certains verilog testbench code massivement utilise $readmemh, et $writememh. J'ai compris que c'essentiellement en lecture à la mémoire et à écrire dans la mémoire. Je serai heureux si vous pouvez pointer vers quelques ressources liées à ces routines.
PS: j'ai cherché dans google, sans succès. (Je suis très ... très nouveau pour Verilog)

Vous n'êtes pas à la recherche assez dur alors! Essayez de rechercher quelque chose comme "readmem tutoriel". La documentation pour vous simulateur devrait avoir des informations utiles aussi.
quand j'ai cherché pour "readmem tutoriel" dans google, cette page est le meilleur coup ... ;-)))
Un gratuit de la norme IEEE Std 1800-2012 est maintenant disponible: article 21.4 "Chargement de la mémoire de données de tableau à partir d'un fichier", etc.

OriginalL'auteur Alphaneo | 2009-03-10