trouvé '0' définitions de l'opérateur “+” en VHDL

À d'abord je veux préciser que c'est ma première tentative avec le VHDL, de sorte à être gentil. Je veux lire X1,..., X4 intrants et des produits agricoles à la somme de ceux à la sortie. Ce mon code

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity counter_of_aces is 
  Generic(N: integer := 3);
     port( X1, X2, X3, X4 : IN BIT; 
        count: out std_logic_vector(N-1 downto 0)); 
end counter_of_aces;

architecture behavioral of counter_of_aces is 
signal counter : std_logic_vector(Ν-1 downto 0);
begin 
  process (X1, X2, X3, X4) 
  begin
    counter <= "0";
    if(X1='1' OR X2='1' OR X3='1' OR X4='1')then 
        counter <= counter + "1"; --O counter λειτουργεί ως στοιχείο μνήμης 
    else
        counter <= counter;
    end if; 
  end process; 
end behavioral;

et j'obtiens les erreurs suivantes

ERROR:HDLCompiler:69 - Line 11: <í> is not declared.
ERROR:HDLCompiler:1731 - Line 17: found '0' definitions of operator "+", cannot determine exact overloaded matching definition for "+"
ERROR:HDLCompiler:854 - Line 10: Unit <behavioral> ignored due to previous errors.

Laquelle "je" est-il référence et qu'en est-il des autres? Merci à l'avance.

OriginalL'auteur Billy Grande | 2014-10-27