urandom_range(), urandom(), random() en verilog

Je suis confus entre ces trois fonctions et je me demandais pour quelques explications. Si j'ai mis de la gamme comment puis-je faire la gamme exclusive ou inclusive? Sont les plages de inclusif ou exclusif si je n'ai pas de spécifier la plage?

Ceux-ci sont complètement spécifié dans la gratuit de la norme IEEE Std 1800-2012. Reportez-vous à la section 18.13 nombre Aléatoire des fonctions du système et des méthodes.
$urandom_range() et $urandom() sont de SystemVerilog IEEE Std 1800-2012. Verilog est son prédécesseur

OriginalL'auteur Syed Rumman | 2016-04-18