Verilog: est-il possible de faire indexé instanciation?

J'ai un fichier, c'est quelque chose de semblable à

module AB(A,B,Out);
  input A,B;
  output Out;

  wire Out;
  assign Out = A & B;
endmodule

J'ai besoin d'utiliser un nombre N de ce calcul.
dire que j'ai un=1001; b=0001, j'ai besoin de faire quelque chose comme au niveau du bit, et j'ai les N bits.

Je l'ai utilisé comme une instanciation:

op[0] = a[0] & b[0];
op[1] = a[1] & b[1];
op[2] = a[2] & b[2];
op[3] = a[3] & b[3];
op[4] = a[4] & b[4];

Quand je suis en train de le faire avec un indice i, j'ai:

AB g(a[i],b[i],Op[i]) for i = 0 to N-1. 

Si je fais cela, il dit AB est non déclaré.

Est-ce impossible? Si oui, quelle est l'alternative?

OriginalL'auteur Nandhini | 2011-04-08