VHDL attribution des littéraux

Je suis en train d'utiliser des entiers non signés en VHDL avec bien défini bits largeurs. Il semble VHDL ne m'aime pas tenter d'assigner des valeurs littérales de ces types définis comme:

variable LCD_DATA: unsigned(19 downto 0) := 0;

Mais dans mon IDE (Quartus), je reçois une plainte "type non signé ne correspond pas littéral entier." Je reçois aussi des plaintes pour ajouter des numéros à la définition des types comme ça. Quel est le préféré changer j'ai besoin de faire?

OriginalL'auteur Christopher Brown | 2014-03-18