VHDL: Code pour mettre une valeur numérique à une variable STD_LOGIC_VECTOR

Je voudrais entrer un nombre dans une variable de type STD_LOGIC_VECTOR mais j'ai des problèmes avec le compilateur.

signal cl_output_ChA :   STD_LOGIC_VECTOR (16-1 downto 0);

cl_ouput_ChA <= 111111111111111;

Le compilateur me donner ces deux messages:

  • La valeur de l'entier de 111111111111111 est supérieure entier grand.
  • Type de cl_output_ChA est incompatible avec le type de 111111111111111.

quelqu'un pourrait-il me donner une bonne ligne de code à mettre dans cette variable une valeur numérique particulière?
Je vous remercie beaucoup.

Quelqu'un pourrait-il créer une balise "code", ce qui pourrait indiquer quelqu'un de poser pour un morceau de code.

OriginalL'auteur Peterstone | 2011-07-14