VHDL / Comment initialiser mon signal?

Je suis un débutant en VHDL et j'ai une question de base.

Prenons l'exemple de saisie suivantes :

A  : in std_logic_vector(22 downto 0);

Et ce signal :

signal dummyA : std_logic_vector(47 downto 0);

Je veux initialiser dummyA avec ce que j'ai fait est:

dummyA <= A;

Est-ce correct ? Je veux dire, est-il équivalent à :

dummyA <= "0000000000000000000000000" & A; ? Ou devrais-je ajouter le 0 explicitement comme ça.

OriginalL'auteur user2336315 | 2013-05-16