Floating Point de la Division System Verilog

Je voulais utiliser des nombres à virgule flottante dans System Verilog à l'aide de la real type de données. J'ai essayé le code suivant, mais il ne semble pas fonctionner. Je suis 2.000000 où j'attends 2.500000.

Module:

module real_check(input [31:0]a, [31:0]b,
                  output real c);
    assign c = a/b;
endmodule

Banc d'essai:

module tb_real_check();

real c;
reg[31:0] a, b;
real_check dut(a, b, c);

initial 
begin

  a <= 5;
  b <= 2;
  #50;
  $display("Answer : %f ", c);

end
endmodule
InformationsquelleAutor akipro | 2014-07-12